VHDL Code For 4-bit Odd Parity And 7/4 Hamming Coding System Using Intel Quartus Prime And ModelSim